Home > All news > Industry news > Impact of Yandex Asset Split on Chinese Semiconductor Expansion into Russia
芯达茂F广告位 芯达茂F广告位

Impact of Yandex Asset Split on Chinese Semiconductor Expansion into Russia

Recently, taiwanese media DIGITIMES reported that TSMC will introduce High NA EUV lithography technology in the A14P process launched in 2028 at the earliest. This news not only marks another leap forward for TSMC in semiconductor manufacturing technology, but also has a far-reaching impact on the entire industry. In this article, China Exportsemi Web will deeply analyze the significance of this technological change to TSMC and the entire semiconductor industry.

1. TSMC's technology roadmap

TSMC has officially announced the most advanced process A16, which will support the backside power delivery network (BSPDN) and is scheduled for mass production in the second half of 2026. On the A16, TSMC will still use the traditional Low NA (0.33NA) EUV lithography machine. However, TSMC's next-generation process A14 after A16 is expected to enter the risk trial production stage in the first half of 2026, and mass production will be launched in the third quarter of 2027 at the earliest.

2.Introduce of High NA EUV

In the A14P, an improved version of the A14, TSMC is expected to officially enable High NA EUV lithography technology, which roughly falls in time to 2028. High NA EUV lithography, with its higher numerical aperture (NA), enables smaller feature sizes and higher resolutions, which is essential for manufacturing more advanced and efficient chips.

undefined

Figure: TSMC's A14P process will introduce High NA EUV lithography technology

3. Technical details and competitors

The improvement of High NA EUV lithography technology is mainly reflected in the increase of numerical aperture. While ASML's EUV tool currently has a numerical aperture of 0.33 and a resolution of around 13.5nm, the next-generation High NA EUV system has increased its numerical aperture to 0.55 and the resolution from 13.5nm to 8nm. This not only enables smaller metal pitches, but also increases productivity, with more than 185 wafers per hour lithography.

Among TSMC's advanced foundry competitors, Intel has made it clear that it will use High NA lithography in the Intel A14 node; Although Samsung Electronics has already placed an order with ASML for the High NA EUV lithography machine, it has not specified when it will be launched; Rapidus' High NA node will also have to wait until at least 2nm.

4. The Impact of China's Local Semiconductor Companies

1. Technology introduction and cooperation: With TSMC's introduction of High NA EUV lithography technology, local Chinese semiconductor companies can consider cooperating with TSMC or other companies that own the technology to introduce advanced manufacturing technology and enhance their competitiveness.

2. Changes in market demand: The application of High NA EUV lithography technology will drive the market demand for higher-performance chips, and local semiconductor companies in China need to pay attention to this change and adjust their product strategies to meet the market demand for high-performance chips.

3. Cost and benefit analysis: Although High NA EUV lithography technology has significant technical advantages, its high cost is also a factor that cannot be ignored. When considering the introduction of this technology, local semiconductor companies in China need to conduct a detailed cost-benefit analysis to ensure a reasonable return on investment.

5. Summary

To sum up, TSMC's introduction of High NA EUV lithography technology is an important milestone in the development of semiconductor manufacturing technology. The introduction of this technology will not only enhance TSMC's own manufacturing capabilities, but also promote technological progress in the entire industry. For Chinese semiconductor companies, this is a moment of both opportunities and challenges. By actively introducing and applying advanced technologies, Chinese semiconductor companies can occupy a more favorable competitive position in the international market.

TSMC's introduction of High NA EUV lithography technology in 2028 heralds a new stage of development for semiconductor manufacturing technology. This technological change is not only of great significance to TSMC itself, but will also have a profound impact on the entire semiconductor industry. For Chinese semiconductor companies, seizing the opportunity of this technological change and actively adjusting their strategies will help win more development space in the global market. With the continuous advancement of technology and the gradual maturity of the market, it is expected that the semiconductor industry will usher in more innovation and change in the next few years.

Related news recommendations

Login

Register

Login
{{codeText}}
Login
{{codeText}}
Submit
Close
Subscribe
ITEM
Comparison Clear all