Home > All news > Industry news > Intel 3 Process Node Disclosure
芯达茂F广告位 芯达茂F广告位

Intel 3 Process Node Disclosure

Recently, Intel Corporation detailed its next-generation Intel 3 process node, which is 18% more performant than Intel 4 process node, while also increasing density.

Intel 3 Process Junction represents Intel's latest achievements in process technology. Compared to the previous generation Intel 4, Intel 3 achieves about 0.9x logic scaling, which means that more transistors can be accommodated in the same area, significantly improving the integration and performance of the processor. At the same time, Intel 3 has also achieved significant improvements in energy efficiency, with up to 17% performance per watt, thanks to process optimization and in-depth application of EUV (extreme ultraviolet lithography) technology. As an important breakthrough in the field of semiconductor manufacturing, EUV technology has the characteristics of high precision and high efficiency. In the Intel 3 process, Intel applies EUV technology to more production processes, improving productivity and improving processor performance. In addition, Intel 3 introduces a higher density design library and an optimized interconnect technology stack, which further improves the overall performance of the processor by increasing the drive current of the transistors and reducing through-hole resistance.

The successful launch of Intel 3 process junction has quickly received a positive response from the market. First of all, the Intel ® Xeon ®6 efficiency core processor, codenamed Sierra Forest, has already achieved remarkable results in the data center market as the first product to use the Intel 3 process node. With its superior performance and energy efficiency, this processor breathes new life into the world of cloud computing.

Intel 3 Process Node Details: 18% faster performance and 10% higher density at the same power, now powered by Xeon 6 CPUs

Figure: Intel 3 Process Node Details: 18% faster performance and 10% higher density at the same power, now powered by Xeon 6 CPUs

Intel is not satisfied with its current achievements, and it has planned multiple evolutionary versions of the Intel 3 process to meet the needs of different customers. In response to the huge demand for advanced packaging in the AI era, Intel 3-T will use through-silicon via technology to optimize for 3D stacking. Intel 3-E will enable expanded features such as RF and voltage regulation; The Intel 3-PT, on the other hand, will achieve at least a 5% performance improvement while adding TSI technology.

In addition, Intel Xeon 6 performance core processors (codenamed Granite Rapids), which are expected to be launched in Q3 2024, will also be built on Intel 3. The processor will target the high-end computing market and provide users with a better performance experience.

With the successful mass production of Intel 3, the "five process nodes in four years" plan has entered the "sprint stage". Next, Intel will open the "Angstrom era" of semiconductors, in which the Intel 20A and Intel 18A processes will start production in the second half of 2024 and 2025 respectively, open to Intel foundry customers. More new technologies will be put into use. The introduction of these new technologies will further promote the development of semiconductor technology, bringing more superior performance and energy efficiency to future smart devices, cloud computing, Internet of Things and other fields.


Related news recommendations

Login

Registration

Login
{{codeText}}
Login
{{codeText}}
Submit
Close
Subscribe
ITEM
Comparison Clear all