Home > All news > Industry news > TSMC's Backside Power Supply Network Technology: An Innovation Model or a Cost Abyss?
芯达茂F广告位 芯达茂F广告位

TSMC's Backside Power Supply Network Technology: An Innovation Model or a Cost Abyss?

In the fierce competition in the global semiconductor industry, Taiwan Semiconductor Manufacturing Company (TSMC) once again stands at the forefront of the industry with its technological innovation. TSMC's backside power delivery network (BSPDN) technology is a disruptive innovation in the existing transistor power supply method. However, while this technology is straightforward and efficient, it also brings a significant increase in the complexity and cost of the production process. This article will delve into the logic behind this technology, its challenges, and the impact it could have on the future of the industry.

The necessity and advantages of a backside power supply network

As Moore's Law continues to advance, semiconductor transistors have shrunk in size to the nanometer level and increasing in density, leading to a dramatic increase in the complexity of power supply and data transmission. Traditional front-powered networks face significant design challenges in multi-layer stacked transistor architectures. The emergence of backside power supply technology (BSPDN) is precisely to solve this problem, by moving the power supply network to the back of the transistor, not only improves the transistor density per unit area, but also avoids signal interference and back-end wiring congestion, thereby enhancing the reliability of the chip.

Technical difficulties and solutions

The implementation of backside power supply technology is not an easy task. First of all, the grinding of the back side of the wafer needs to achieve extreme precision while maintaining the rigidity of the wafer to withstand the subsequent manufacturing process. In addition, the uniformity of copper metal coating in the nano-silicon perforation (nTSV) process is a challenge that requires sophisticated equipment to detect and control.

TSMC's Super PowerRail solution is more straightforward and efficient than Intel's PowerVia and imec's Buried Power Rail. It achieves higher computing speed and lower power consumption by connecting the power transmission line directly to the source and draw poles of the transistor. According to TSMC, A16 nodes using Super PowerRail are 8% to 10% faster than N2P, 15% to 20% less power, and up to 1.10x higher chip density than N2P at the same operating voltage.

undefined

Figure: TSMC's backside power supply solution

TSMC's market strategy and industry impact

TSMC's confidence in Super PowerRail technology is reflected in its goal of mass production in 2026. To reflect the value of this technology, TSMC has also made price adjustments, especially for the 3nm/5nm AI product line, with a price increase of 5% to 10%. This strategy not only reflects TSMC's confidence in its own technology, but also reflects the market's urgent demand for high-performance chips.

TSMC's move will undoubtedly have a far-reaching impact on the semiconductor industry. On the one hand, the backside power supply technology will further improve the performance of chips to meet the growing computing needs. On the other hand, the increase in production costs may also have a certain impact on chip prices, which in turn will affect the entire industrial chain.

Conclusions and prospects

TSMC's backside power supply network technology is a bold innovation attempt and an important breakthrough in the semiconductor manufacturing process. While this technology presents challenges of production complexity and increased costs, it also opens up new possibilities for improving chip performance and the development of the semiconductor industry.

In the future, TSMC needs to find a balance between technological innovation and cost control to ensure the market competitiveness of its technology. At the same time, we also look forward to the follow-up and development of other semiconductor manufacturers in this field. With the continuous maturity of technology and the gradual reduction of costs, the backside power supply network is expected to become an important force to promote the development of the semiconductor industry.

Related news recommendations

Login

Register

Login
{{codeText}}
Login
{{codeText}}
Submit
Close
Subscribe
ITEM
Comparison Clear all